900字范文,内容丰富有趣,生活中的好帮手!
900字范文 > vivado_hls 与 modelsim 联合仿真时报错 Failed to access library ‘unisims_ver‘ at “unisims_ver“

vivado_hls 与 modelsim 联合仿真时报错 Failed to access library ‘unisims_ver‘ at “unisims_ver“

时间:2024-04-20 07:17:16

相关推荐

vivado_hls 与 modelsim 联合仿真时报错 Failed to access library ‘unisims_ver‘ at “unisims_ver“

vivado_hls 与 modelsim 联合仿真时报错:vopt-19:Failed to access library 'unisims_ver' at "unisims_ver"

报错场景报错内容报错原因解决办法

报错场景

在vivado_hls中完成 C Simulation 和 C synthesis 后,进行 C/RTL Cosimulation时,在跑一段时间后,弹出ERROR提示,程序停止运行。

报错内容

# ** Error (suppressible): (vopt-19) Failed to access library 'unisims_ver' at "unisims_ver".# No such file or directory. (errno = ENOENT)# ** Note: (vopt-143) Recognized 1 FSM in module "shift_led(fast)".# Error loading design# Error: Error loading design# Executing onElabError command(s): #set fl [open ".exit.err" w]#puts $fl "Elaboration errors in executing modelsim simulator"#close $fl#quit# # End time: 12:00:26 on Feb 04,, Elapsed time: 0:00:00# Errors: 1, Warnings: 0ERROR: [COSIM 212-4] *** C/RTL co-simulation finished: FAIL ***

报错原因

编译库未正确导入,编译库时由 Vivado 编译产生的,在 vivado 联合 modelsim 仿真时也需要该编译库。

解决办法

设置编译库的正确路径,使其正确导入,如下图:

本内容不代表本网观点和政治立场,如有侵犯你的权益请联系我们处理。
网友评论
网友评论仅供其表达个人看法,并不表明网站立场。