900字范文,内容丰富有趣,生活中的好帮手!
900字范文 > Quartus II 13.1破解后无法仿真波形的解决办法(MGLS LM环境变量配置出错)

Quartus II 13.1破解后无法仿真波形的解决办法(MGLS LM环境变量配置出错)

时间:2024-05-20 11:22:39

相关推荐

Quartus II 13.1破解后无法仿真波形的解决办法(MGLS LM环境变量配置出错)

先说原因:只破解了Quartus(到在Quartus中设置修改好的license.dat就结束了),没有破解Quartus中的仿真器Modelsim

解决方法一:放弃13.1,下载Quartus 9。

解决方法二:下载Modelsim破解工具:Modelsim_10.1c_crack.rar(下载链接见文末) 使用方法如下:

①将压缩包中的MentoKG.exe和patch_dll.bat放入:

(安装目录、文件夹因人而异)\quartus\modelsim_ase\win32aloem

(网络上相关Modelsim的破解教程都不是针对Quartus的,都没有给出正确的文件夹位置;两个文件要放在同个文件夹,它们之间是调用关系)

②双击patch_dll.bat,跳出黑窗后再稍等一会,会跳出一个license文件,将它另存为license.dat保存到上文中的win32aloem文件夹内

③我的电脑->右键->属性->高级系统设置->环境变量(也可以直接系统内搜索账户环境变量),在上半部分的账户环境变量中新建两个变量,名称分别为LM_LICENSE_FILE和MGLS_LICENSE_FILE,位置指向我们上文中另存为的**\quartus\modelsim_ase\win32aloem\license.dat** 设置好后点击确定

④打开Quartus,Tools->options->EDA tools options,在ModelsimModelsim-Altera两栏指向上文文件夹**\quartus\modelsim_ase\win32aloem**

至此,Quartus 13.1的波形模拟器部分破解成功,可以出波形了。

Quartus13.1链接:/s/1-BhOk2pkLnp-l4JVhgVHhA

提取码:btur

Modelsim_10.1c_crack.rar链接:/s/1plXog8NfpE_3m2owRww_vA

提取码:qo8a

本内容不代表本网观点和政治立场,如有侵犯你的权益请联系我们处理。
网友评论
网友评论仅供其表达个人看法,并不表明网站立场。