900字范文,内容丰富有趣,生活中的好帮手!
900字范文 > FPGA_四位二进制计数器

FPGA_四位二进制计数器

时间:2023-02-13 08:39:52

相关推荐

FPGA_四位二进制计数器

四位二进制计数器和真值表:

代码如下:

module jishuqi(clk,rst,en,rset,co,d,q);

input clk;

input rst;

input rset;

input en;

input[3:0] d;

output[3:0] q;

output co;

reg[3:0] q;

reg co;

always@(posedge clk)

if(rst)

begin

q <= 4'd0;

end

else

begin

if(rset)

begin

q <= d;

end

else

begin

if(en)

begin

q <= q+4'b1;

if(q==4'b1111)

begin

co <= 1;

end

else

begin

co <= 0;

end

end

else

begin

q <= q;

end

end

end

endmodule

功能仿真:

本内容不代表本网观点和政治立场,如有侵犯你的权益请联系我们处理。
网友评论
网友评论仅供其表达个人看法,并不表明网站立场。