900字范文,内容丰富有趣,生活中的好帮手!
900字范文 > 小数分频器vhdl实现_VHDL实现分频器 - zy010101的个人空间 - OSCHINA - 中文开源技术交流社区...

小数分频器vhdl实现_VHDL实现分频器 - zy010101的个人空间 - OSCHINA - 中文开源技术交流社区...

时间:2024-01-29 23:07:35

相关推荐

小数分频器vhdl实现_VHDL实现分频器 - zy010101的个人空间 - OSCHINA - 中文开源技术交流社区...

10分频电路(非

分频器)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY clk_div IS

PORT(clkin:IN STD_LOGIC;

clkout:OUT STD_LOGIC);

END clk_div;

ARCHITECTURE clk_div_behavior OF clk_div IS

SIGNAL counter:STD_LOGIC_VECTOR(2 DOWNTO 0);

SIGNAL temp:STD_LOGIC;

BEGIN

PROCESS(clkin)

BEGIN

IF(clkin'EVENT AND clkin='1')THEN

IF(counter="100")THEN --注意,这里是0——4,一个周期1:1的高低电平

counter<="000";

temp<=NOT temp;

ELSE

counter<=counter+1;

ENDIF;

END IF;

END PROCESS;

clkout<=temp;

END clk_div_behavior;

分频电路(2,4,8分频电路)

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY clk_div IS

PORT(clk:IN STD_LOGIC;

clk_div_2:OUT STD_LOGIC;

clk_div_4:OUT STD_LOGIC;

clk_div_8:OUT STD_LOGIC);

END clk_div;

ARCHITECTURE clk_div_behavior OF clk_div IS

SIGNAL counter:STD_LOGIC_VECTOR(2 DOWNTO 0);

BEGIN

PROCESS(clk)

BEGIN

IF(clk'EVENT AND clk='1')THEN

IF(counter="111")THEN

counter="000";

ELSE

counter<=counter+1;

END IF;

END IF;

END PROCESS;

clk_div_2<=NOT counter(0);

clk_div_4<=NOT counter(1);

clk_div_8<=NOT counter(2);

END clk_div_behavior;

占空比为2:4的6分频器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY clk_div IS

PORT(clk:IN STD_LOGIC;

clk_div_6:OUT STD_LOGIC);

ENDclk_div;

ARCHITECTURE clk_div_bahavior OF clk_div IS

SIGNAL temp:STD_LOGIC_VECTOR(2 DOWNTO 0);

CONSTANT counter:STD_LOGIC_VECTOR(2 DOWNTO 0):="101";

BEGIN

PROCESS(clk)

BEGIN

IF(clk'EVENT AND clk='1')THEN

IF(temp=counter)THEN--控制分频

temp<="000";

ELSE

temp<=temp+1;

END IF;

END IF;

END PROCESS;

PROCESS(clk)

BEGIN

IF(clk'EVENT AND clk='1')THEN

IF(temp="001")--控制占空比

clk_div_6<='1';

ELSE

clk_div_6<='0';

END IF;

END IF;

END PROCESS;

END clk_div_bahavior;

我的博客即将同步至腾讯云+社区,邀请大家一同入驻:/developer/support-plan?invite_code=3f3iv18pcu80k

本文同步分享在 博客“zy010101”(CSDN)。

如有侵权,请联系 support@ 删除。

本文参与“OSC源创计划”,欢迎正在阅读的你也加入,一起分享。

本内容不代表本网观点和政治立场,如有侵犯你的权益请联系我们处理。
网友评论
网友评论仅供其表达个人看法,并不表明网站立场。